欢迎来到咨信网! | 成为共赢成为共赢 咨信网助力知识提升 | 自信网络旗下运营:咨信网 自信AI创作助手 自信AI导航
咨信网
全部分类
  • 包罗万象   教育专区 >
  • 品牌综合   考试专区 >
  • 管理财经   行业资料 >
  • 环境建筑   通信科技 >
  • 法律文献   文学艺术 >
  • 学术论文   百科休闲 >
  • 应用文书   研究报告 >
  • ImageVerifierCode 换一换
    首页 咨信网 > 资源分类 > PDF文档下载
    分享到微信 分享到微博 分享到QQ空间

    基于UVM的AHB总线SRAM控制器设计和验证.pdf

    • 资源ID:633484       资源大小:1.17MB        全文页数:3页
    • 资源格式: PDF        下载积分:10金币
    微信登录下载
    验证码下载 游客一键下载
    账号登录下载
    三方登录下载: QQ登录
    二维码
    微信扫一扫登录
    下载资源需要10金币
    邮箱/手机:
    验证码: 获取验证码
    温馨提示:
    支付成功后,系统会自动生成账号(用户名为邮箱或者手机号,密码是验证码),方便下次登录下载和查询订单;
    支付方式: 支付宝    微信支付   
    验证码:   换一换

    开通VIP
     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    声明    |    会员权益      获赠5币      写作写作
    1、填表:    下载求助     索取发票    退款申请
    2、咨信平台为文档C2C交易模式,即用户上传的文档直接被用户下载,收益归上传人(含作者)所有;本站仅是提供信息存储空间和展示预览,仅对用户上传内容的表现方式做保护处理,对上载内容不做任何修改或编辑。所展示的作品文档包括内容和图片全部来源于网络用户和作者上传投稿,我们不确定上传用户享有完全著作权,根据《信息网络传播权保护条例》,如果侵犯了您的版权、权益或隐私,请联系我们,核实后会尽快下架及时删除,并可随时和客服了解处理情况,尊重保护知识产权我们共同努力。
    3、文档的总页数、文档格式和文档大小以系统显示为准(内容中显示的页数不一定正确),网站客服只以系统显示的页数、文件格式、文档大小作为仲裁依据,平台无法对文档的真实性、完整性、权威性、准确性、专业性及其观点立场做任何保证或承诺,下载前须认真查看,确认无误后再购买,务必慎重购买;若有违法违纪将进行移交司法处理,若涉侵权平台将进行基本处罚并下架。
    4、本站所有内容均由用户上传,付费前请自行鉴别,如您付费,意味着您已接受本站规则且自行承担风险,本站不进行额外附加服务,虚拟产品一经售出概不退款(未进行购买下载可退充值款),文档一经付费(服务费)、不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
    5、如你看到网页展示的文档有www.zixin.com.cn水印,是因预览和防盗链等技术需要对页面进行转换压缩成图而已,我们并不对上传的文档进行任何编辑或修改,文档下载后都不会有水印标识(原文档上传前个别存留的除外),下载后原文更清晰;试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓;PPT和DOC文档可被视为“模板”,允许上传人保留章节、目录结构的情况下删减部份的内容;PDF文档不管是原文档转换或图片扫描而得,本站不作要求视为允许,下载前自行私信或留言给上传者【自信****多点】。
    6、本文档所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用;网站提供的党政主题相关内容(国旗、国徽、党徽--等)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
    7、文档遇到问题,请及时私信或留言给本站上传会员【自信****多点】,需本站解决可联系【 微信客服】、【 QQ客服】,若有其他问题请点击或扫码反馈【 服务填表】;文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“【 版权申诉】”(推荐),意见反馈和侵权处理邮箱:1219186828@qq.com;也可以拔打客服电话:4008-655-100;投诉/维权电话:4009-655-100。

    基于UVM的AHB总线SRAM控制器设计和验证.pdf

    1、 集成电路应用 第 40 卷 第 6 期(总第 357 期)2023 年 6 月 51Research and Design 研究与设计 1.1 验证平台架构基于UVM的通用验证平台主要包括待测模块DUT、激励产生模块Sequence、激励仲裁模块Sequencer、驱动模块Driver、监测模块Monitor、参考模型、计分板等组件,平台架构如图1所示。1.2 验证平台的执行流程基于UVM的验证平台中各个组件内部的任务和函数,根据UVM phase机制自动执行。通过build_phase创建和配置验证平台结构时以自顶向下顺序执行的;后续uvm_phase以自底向上顺序执行的,依次完成各组件间

    2、的连接和通信。验证平台组件间0 引言在集成电路的不断创新中,电路的集成化与复杂度以指数规律增长1,复杂且庞大IP的功能完备性和正确性难以保证,验证上需要花费大量成本和精力,验证工作逐渐成为芯片设计中的关键环节2。本文从提升验证环境效率、可靠性和可读性的角度,以基于AHB总线的SARM控制器作为待测模块,搭建了基于UVM的通用验证平台,采用受约束的随机测试用例,通过收集测试用例覆盖率,完成对测试进展的度量,根据仿真结果对验证环境进行优化,从而提高UVM验证平台的高重用性、可移植性和可靠性。1 创建UVM通用验证平台验 证 技 术 包 括 验 证 语 言 和 验 证 方 法 学。常用验证语言有Ve

    3、rilog、System C和System Verilog3。System Verilog具有封装、继承和多态等面向对象特性,支持约束化随机激励的产生、覆盖率统计和断言验证等功能4。验证方法学是基于验证语言的库函数,包括VA、eRM、RVM、VMM、OVM、UVM。作者简介:梁光胜,华北电力大学电气与电子工程学院,副教授,硕士;研究方向:集成电路及系统芯片设计与应用、嵌入式系统与智能控制、物联网技术及应用。收稿日期:2023-02-09;修回日期:2023-05-27。摘要:阐述AHB总线的SARM控制器运行原理和特点,以System Verilog为验证语言,VCS和DVE为仿真软件,搭建了

    4、基于UVM的通用验证平台,针对待测模块设计随机化测试用例,给出基于UVM的AHB总线SRAM控制器的验证结果,检测UVM验证平台的重用性、可移植性和可靠性。关键词:UVM验证方法,AHB总线,静态随机存取存储器,System Verilog,VCS。中图分类号:TN47,TP336 文章编号:1674-2583(2023)06-0051-03DOI:10.19339/j.issn.1674-2583.2023.06.020文献引用格式:梁光胜,李朝洋,梁兆楷,杨松.基于UVM的AHB总线SRAM控制器设计和验证J.集成电路应用,2023,40(06):51-53.基于UVM的AHB总线SRAM

    5、控制器设计和验证梁光胜,李朝洋,梁兆楷,杨松(华北电力大学 电气与电子工程学院,北京 102206)Abstract This paper describes the operation principle and characteristics of the AHB bus SARM controller,a universal verification platform based on UVM built with System Verilog as the verification language and VCS and DVE as the simulation software.

    6、Randomized test cases are designed for the modules to be tested,and the verification results of the AHB bus SRAM controller based on UVM are given to test the high reusability,portability and reliability of the UVM verification platform.Index Terms UVM,AHB protocol,SRAM,System Verilog,VCS.Design and

    7、 Verification of the AHB bus SRAM Controller Based on UVMLIANG Guangsheng,LI Zhaoyang,LIANG Zhaokai,YANG Song(School of Electrical and Electronic Engineering,North China Electric Power University,Beijing 102206,China.)图1 基于UVM的通用验证平台架构52 集成电路应用 第 40 卷 第 6 期(总第 357 期)2023 年 6 月 Research and Design 研究

    8、与设计图2 基于AHB总线的SRAM控制器总体设计框图采用TLM通信传输方式,通过事务级端口完成各组件间的通信传输,该端口将各组件通信时所需变量和约束条件打包传输,采用虚拟接口完成DUT与验证组件之间的通信和时序同步。2 SRAM控制器的设计SRAM具有低功耗、高速存取、不需要实时刷新等特点4,被广泛用于处理器的高速缓存、SOC系统、AI加速芯片等系统5。本文利用Quartus软件的SRAM IP核构建了64k(8k8块)SRAM,设计了连接AHB总线的SRAM控制器。主要功能如下:8bit、16bit、32bit、64bit AHB总线数据的读写操作;SRAM单周期读写;在多块SRAM组成的

    9、存储器中系统可选择一块或多块SRAM,未被选中的SRAM片处于低功耗模式。基于AHB总线的SRAM控制器总体设计如图2,最外层的顶层模块包括接口模块和数据存储模块。数据存储模块包含两个bank,由8个SRAM实例化组成,SRAM的选择由bank选择信号和仲裁信号决定。接口模块提供读写信号、数据信号和仲裁信号。根据AHB总线基本传输协议和低功耗要求,将主机发来的地址信号、处理后的位宽信号和数据一起存放在SRAM中,实现单周期读写。数据存储时先生成SRAM的bank选择信号,再通过AHB总线的HSIZE信号和低2位地址总线数据,产生AHB-SRAM数据位宽信号,最后根据bank信号和数据位宽选择使

    10、用SRAM块,以此实现低功耗功能。3 创建SRAM控制器验证平台UVM验证的主要任务是搭建UVM验证平台,配置测试参数,编译软件启动UVM验证平台,对待测模块自动化验证,打印验证报告与覆盖率统计报告,得出验证结论。3.1 功能验证点规划通过搭建UVM验证平台,检验基于AHB总线的SRAM控制器是否能单周期读写,是否符合AHB总线协议的猝发读写传输,其功能验证点如下:(1)地址和数据的完备性;(2)读写时地址和数据是否丢失;(3)Burst传输中,地址和数据是否丢失、是否符合总线协议;(4)SRAM控制模块是否具备低功耗状态;(5)读写时序是否支持单周期读写。其验证方案是通过采用带约束的随机化激

    11、励,监测DUT中输入和输出信号,结合断言语句,收集时序数据,调用覆盖率统计语句,针对读/写数据包的低中高区域进行自动化统计。3.2 SRAM控制器的UVM验证平台实现AHB总线SARM控制器的验证平台复用了UVM通用验证平台中多个组件,个性化处理的组件包括:虚拟接口、Driver、参考模型和计分板。其中虚拟接口中主要信号包括片选信号、写信号、准备完毕信号、传输数据位数信号、传输数据类型信号、地址输入信号、数据输入信号、数据读取信号。Driver是验证平台与DUT交互的组件,在进行Driver设计时,首先通过宏完成工厂注册,将其登记在UVM内部网表;然后进行端口例化,完成自身与待测模块互联;最后

    12、在run_phase中完成AHB总线协议的读写信号时序描述,将事务级端口数据转换成信号级输出驱动DUT。参考模型通过接入与DUT相同的激励,观察运行变化与待测模块的区别。因此需要设计支持AHB总线协议的参考模型,首先完成组件的继承和工厂注册,再定义一个my_sram模块和两个端口,其中port端口接受来自Driver的信号作为输入,ap端口则把输出结果发送到计分板,进行数据的比对。4 SRAM控制器的验证结果 4.1 数据报告通过编写多个测试用例来验证不同测试点的完备性,利用VCS编译仿真并产生编译报告、覆盖率结果。通过运行可执行文件,选择不同的测试用例进行仿真,利用“uvm_info”宏打印

    13、当前比对的事务信息,比对成功时打印“PASS:ADDR”等信息,比对失败则报错。4.2 DVE界面波形验证平台通过虚拟接口与DUT连接,通过观察虚拟接口信号时序可得到DUT在带约束的随机激励下输入输出情况,如图3所示。将ahb_slv_if.sv中虚 集成电路应用 第 40 卷 第 6 期(总第 357 期)2023 年 6 月 53Research and Design 研究与设计拟接口信号导入DVE波形分析器,选用不同测试用例,即可得到DUT不同的输入输出波形。4.3 覆盖率覆盖率是评估验证工作进度与验证是否完备的指标,包括代码覆盖率和功能覆盖率。代码覆盖率是衡量DUT代码执行情况的标准,

    14、包括语句覆盖率、条件覆盖率、决策覆盖率、跳转覆盖率和状态机覆盖率。在仿真过程中EDA软件自动收集代码覆盖率,在参数NUMBER_PACKETS为10000、TEST_MODE为2、+ntb_random_SEED为1651198619时,代码覆盖率报告如图4所示。功能覆盖率是衡量设计模块所实现的各项功能,是否符合设计的功能点要求。通过编写覆盖组和覆盖点建立覆盖率模型,选取hsel、hwrite、hready、hsize、htrans、hwdata、haddr和hrdata等变量作为覆盖点,从而获得面向数据的覆盖率。通过对覆盖组的实例化和sample命令,进行覆盖率采样和分析,功能覆盖率报告如图

    15、5所示。5 结语本文以基于AHB总线的SRAM控制器为待测对象,研究并实现了UVM通用验证平台的搭建,通过覆盖率正确反映验证进度,提高验证效果和效率,检测UVM平台的高重用性、可移植性和可靠性。虽然前期搭建UVM验证平台需要耗费很多时间和精力,但该平台具有较强的规范性以及较好的可重用性和可移植性。通过引入带约束的随机激励,能够提高激励的完备性,更全面地测试DUT。参考文献1 刘达,倪伟,徐春琳.基于UVM的AXI总线验证IP设计J.微电子学,2019,49(05):680-685.2 谢峥,王腾,雍珊珊,陈旭,苏吉婷,王新安.一种基于UVM面向RISCCPU的可重用功能验证平台J.北京大学学报

    16、(自然科学版),2014,50(02):221-227.3 周正.高覆盖率低电压SRAM测试关键技术研究与实现D.江苏:南京邮电大学,2021.4 Zhiting Lin,Zhongzhen Tong,Jin Zhang,Fangming Wang,Tian Xu,Yue Zhao,Xiulong Wu.A review on SRAM-based computing in-memory:Circuits,functions,and applicationsJ.Journal of Semiconductors,2022,43(03):26-50.5 周方健.基于Verification IP验证方法的AXI总线系统设计与实现D.陕西:西安电子科技大学,2017.图4 基于AHB总线SRAM控制器的代码覆盖率图3 基于AHB总线SRAM控制器的波形监测结果图5 基于AHB总线SRAM控制器的功能覆盖率


    注意事项

    本文(基于UVM的AHB总线SRAM控制器设计和验证.pdf)为本站上传会员【自信****多点】主动上传,咨信网仅是提供信息存储空间和展示预览,仅对用户上传内容的表现方式做保护处理,对上载内容不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知咨信网(发送邮件至1219186828@qq.com、拔打电话4008-655-100或【 微信客服】、【 QQ客服】),核实后会尽快下架及时删除,并可随时和客服了解处理情况,尊重保护知识产权我们共同努力。
    温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载【60天内】不扣币。 服务填表




    页脚通栏广告
    关于我们 - 网站声明 - 诚招英才 - 文档分销 - 便捷服务 - 联系我们 - 成长足迹

    Copyright ©2010-2024   All Rights Reserved  宁波自信网络信息技术有限公司 版权所有   |  客服电话:4008-655-100    投诉/维权电话:4009-655-100   

    违法和不良信息举报邮箱:help@zixin.com.cn    文档合作和网站合作邮箱:fuwu@zixin.com.cn    意见反馈和侵权处理邮箱:1219186828@qq.com   | 证照中心

    12321jubao.png12321网络举报中心 电话:010-12321  jubao.png中国互联网举报中心 电话:12377   gongan.png浙公网安备33021202000488号  icp.png浙ICP备2021020529号-1 浙B2-20240490   



    关注我们 :gzh.png  weibo.png  LOFTER.png