欢迎来到咨信网! | 成为共赢成为共赢 咨信网助力知识提升 | 自信网络旗下运营:咨信网 自信AI创作助手 自信AI导航
咨信网
全部分类
  • 包罗万象   教育专区 >
  • 品牌综合   考试专区 >
  • 管理财经   行业资料 >
  • 环境建筑   通信科技 >
  • 法律文献   文学艺术 >
  • 学术论文   百科休闲 >
  • 应用文书   研究报告 >
  • ImageVerifierCode 换一换
    首页 咨信网 > 资源分类 > PDF文档下载
    分享到微信 分享到微博 分享到QQ空间

    贾立新《数字电路》课后答案.pdf

    • 资源ID:260111       资源大小:7.59MB        全文页数:103页
    • 资源格式: PDF        下载积分:14金币
    微信登录下载
    验证码下载 游客一键下载
    账号登录下载
    三方登录下载: QQ登录
    二维码
    微信扫一扫登录
    下载资源需要14金币
    邮箱/手机:
    验证码: 获取验证码
    温馨提示:
    支付成功后,系统会自动生成账号(用户名为邮箱或者手机号,密码是验证码),方便下次登录下载和查询订单;
    支付方式: 支付宝    微信支付   
    验证码:   换一换

    开通VIP
     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    声明    |    会员权益      获赠5币      写作写作
    1、填表:    下载求助     索取发票    退款申请
    2、咨信平台为文档C2C交易模式,即用户上传的文档直接被用户下载,收益归上传人(含作者)所有;本站仅是提供信息存储空间和展示预览,仅对用户上传内容的表现方式做保护处理,对上载内容不做任何修改或编辑。所展示的作品文档包括内容和图片全部来源于网络用户和作者上传投稿,我们不确定上传用户享有完全著作权,根据《信息网络传播权保护条例》,如果侵犯了您的版权、权益或隐私,请联系我们,核实后会尽快下架及时删除,并可随时和客服了解处理情况,尊重保护知识产权我们共同努力。
    3、文档的总页数、文档格式和文档大小以系统显示为准(内容中显示的页数不一定正确),网站客服只以系统显示的页数、文件格式、文档大小作为仲裁依据,平台无法对文档的真实性、完整性、权威性、准确性、专业性及其观点立场做任何保证或承诺,下载前须认真查看,确认无误后再购买,务必慎重购买;若有违法违纪将进行移交司法处理,若涉侵权平台将进行基本处罚并下架。
    4、本站所有内容均由用户上传,付费前请自行鉴别,如您付费,意味着您已接受本站规则且自行承担风险,本站不进行额外附加服务,虚拟产品一经售出概不退款(未进行购买下载可退充值款),文档一经付费(服务费)、不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
    5、如你看到网页展示的文档有www.zixin.com.cn水印,是因预览和防盗链等技术需要对页面进行转换压缩成图而已,我们并不对上传的文档进行任何编辑或修改,文档下载后都不会有水印标识(原文档上传前个别存留的除外),下载后原文更清晰;试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓;PPT和DOC文档可被视为“模板”,允许上传人保留章节、目录结构的情况下删减部份的内容;PDF文档不管是原文档转换或图片扫描而得,本站不作要求视为允许,下载前自行私信或留言给上传者【曲****】。
    6、本文档所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用;网站提供的党政主题相关内容(国旗、国徽、党徽--等)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
    7、文档遇到问题,请及时私信或留言给本站上传会员【曲****】,需本站解决可联系【 微信客服】、【 QQ客服】,若有其他问题请点击或扫码反馈【 服务填表】;文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“【 版权申诉】”(推荐),意见反馈和侵权处理邮箱:1219186828@qq.com;也可以拔打客服电话:4008-655-100;投诉/维权电话:4009-655-100。

    贾立新《数字电路》课后答案.pdf

    1、1数字逻辑基础习题解答自我检测题T1.1(1011111.01101)2=(137.32)8=(5F.68)10T1.2(26.125)io=(11010.001)2=(1A.2)|6T1.3(499)10=(0100 1001 1001)842ibcdoLT1.4(5.14)io=(0101 00010100)842ibcdLT1.5(10010011)8421 bcd=(93)10T1.6基本逻辑运算有与、或、非3种。TL7两输入与非门输入为01时,输出为_oT1.8两输入或非门输入为01时,输出为 oT1,9 当变量 ABC 分别为 100 时,AB+BC=0,(A+B)(A+C)=1(

    2、A+B)AB=_0 oT1.10描述逻辑函数各个变量取值组合和函数值对应关系的表格叫真值表。LT1.11用与、或、非等运算表示函数中各个变量之间逻辑关系的代数式叫普辑表 达式。T1.12逻辑变量和逻辑函数只有 0 和,两种取值,而且它们只是表示两种不 同的逻辑状态。T1.13约束项是不会出现的变量取值所对应的最小项,其值总是等于0。T1.14逻辑函数表达式F=(A+B)(A+B+C)(A3+CD)+E,则其对偶式,=_(45+48C+(A+B)(C+。)E。T1.15写出函数 Z=A5C+(A+BC)(4+C)的反函数,=。+5+不)(ABC+AC)OT1.16函数y=A3+后O 的最小项表达

    3、式为 丫=Em(1,3,9,11,12,13,14,15)o(列真值表)Lti.17 y=abc+6+aboe 的最简与或式为 y=ab+c.LT1.18下列各组数中,是6进制的是 o(A)14752(B)62936(C)53452(D)37481LT1.19十进制数62对应的十六进制数是 o(A)(3E)16(B)(36)16(C)(38)i6(D)(3D)3T1.20下列四个数中与十进制数(163)io不相等的是 o(A)(A3)|6(B)(10100011)2(C)(000101100011)8421 bcd(D)(100100011)8LT1.21已知二进制数11001010,其对应的

    4、十进制数为 o(A)202(B)192(C)106(D)92T1.22十进制数78所对应的二进制数和十六进制数分别为 o(A)1100001B,61H(B)1001110B,4EH(C)1100001B,C2H(D)1001110B,9CHLT1.23和八进制数(166)8等值的十六进制数和十进制数分别为 oPDF 文件使用pdf Fact or y Pr o试用版本创建 www.fi nepr 1数字逻辑基础习题解答2(A)76H,118D(B)76H,142D(C)E6H,230D(D)74H,116DT1.24十进制数118对应的16进制数为 o(A)76H(B)78H(C)E6H(D)

    5、74HTL25和二进制数(1100110111.001)2等值的十六进制数是-(A)(337.2)16(B)(637.1),6(C)(1467.1)|6(D)(C37.4)i6LT1.26下列数中最大数是 o(A)(100101110)2(B)(12F)16(C)(301)I0(D)(10010111)ibcdT1.27用0、1两个符号对100个信息进行编码,则至少需要。(A)8 位(B)7 位(C)9 位(D)6 位LT1.28相邻两组编码只有一位不同的编码是。(A)2421BCD 码(B)8421BCD 码(C)余 3 码(D)格雷码TL29下列几种说法中与BCD码的性质不符的是 o(A)

    6、一组4位二进制数组成的码只能表示一位十进制数(B)BCD码是一种人为选定的09十个数字的代码(C)BCD码是一组4位二进制数,能表示十六以内的任何一个十进制数(D)BCD码有多种LT1.30 一只四输入端与非门,使其输出为。的输入变量取值组合有 种。(A)15(B)8(C)7(D)1T1.31 一只四输入端或非门,使其输出为1的输入变量取值组合有 种。(A)15(B)8(C)7(D)1T1.32下列逻辑代数式中值为。的是 o(A)A A(C)4。(D)A Zti.33与逻辑式x y+y5+yz相等的式子是 o(A)XY+Y(B)Y(C)XY+YZ(D)YZ+YZT1.34与逻辑式入+A5c相等

    7、的式子是 o(A)ABC(B)l+BC(C)A(D)A+BCLT1.35与逻辑式ABC+A前相等的式子是 o(A)ABC(B)A(C)ABC(D)ABC+BCLT1.36下列逻辑等式中不成立的有 o(A)A+BC=(A+B)(A+C)(B)AB+AB+AB=l(C)A+B+AB=(D)A ABD=ABDT1.37下列逻辑等式中不成立的是 o(A)A+B=AB(B)AB=A+B(C)A+AB=A+B(D)A+ABATi.38 若已知x y+y5+rz=x y+y,判断等式(x+y)(y+2)(y+z)=(x+y)y 成PDF 文件使用pdf Fact or y Pr o试用版本创建 www.fi

    8、 nepr 1数字逻辑基础习题解答3(B)(A+B)(B+C)(D)AB+BC立的最简单方法是依据以下_规则:(A)代入规则;(B)对偶规则;(C)反演规则;(D)互补规则。T1.39逻辑函数尸的反函数斤=o(A)AB+CD(B)(A+B)(C+D)(C)(A+B)+(C+D)(D)A+BC+DT1.40逻辑函数/=+的对偶式尸=o(A)(A+B)(B+C)(C)A+B+CLT1.41函数/=A8+BC,使尸=1的输入ABC组合为。(A)ABC=000(2)ABC=010(3)ABC=101(4)ABC=110T1.42已知尸=48。+。,下列组合中,可以肯定使尸=0。(A)A=0,BC=l;

    9、(B)B=l,C=l;(C)C=l,Z=0;(D)BC=1,D=1TL43在下列各组变量取值中,能使函数尸(A,B,C,D)=gm(0,1,2,4,6,13)的值为1是-(A)1100(B)1001(C)0110(D)1110T1.44已知某电路的真值表如表TL44所示,该电路的逻辑表达式为一(A)F=C(B)F=ABC(C)F=AB+C(D)都不是表T1.44ABCFABCF0 0 0010 000 0 111 0 110 10011010 1 111 1 11TL45以下说法中,是正确的?(A)一个逻辑函数全部最小项之和恒等于1(B)一个逻辑函数全部最大项之和恒等于0(C)一个逻辑函数全部

    10、最小项之积恒等于1(D)一个逻辑函数全部最大项之积恒等于1T1.46逻辑函数尸(A,SO=2m(0,1,4,6)的最简与非-与非式为。(A)F=(B)F=ABAC(C)F=ABAC(D)F=ABACLT1.47布尔量4、B、。存在下列关系吗?(1)已知A+B=A+C,问B=C吗?为什么?(2)已知AB=AC,问3=C吗?为什么?(3)已知A+BM+C且问B=C吗?为什么?PDF 文件使用pdf Factory Pr o试用版本创用 www.f i nepri 1数字逻辑基础习题解答4(4)ABC+BD+BC+CD+ACE+BE+CDE=DB+EAC+DC+BE(5)最小项加115与阳116可合

    11、并。(1)X,因为只要4=1,不管8、。为何值,上式均成立。(2)X,不成立,因为只要A=0,不管3、。为何值,上式均成立。(3)V,当A=0时,根据A+B=A+C可得5=C;当A=1时,根据AB=AC可得3=。(4)VABC+BD+BC+CD+ACE+BE+CDE=BC+BD+CD+ACE+BE+CDE=BD+CD+ACE+BE+CDE=BD+CD+ACE+BE(5)X115=1110011B 116=U10100B 逻辑不相邻习 题P L1用4位循环码表示0、1、2、8、9十个数,要求相邻两个数(例2与3或 9与0)都只有一位代码是不同的。固定用0000四位代码表示数0,试写出三种循环码表

    12、 示形式。解:ABCDABCDABCD000000000000000100100100001101101100001001001000011001011001111001111011111111111010110111011110110011000110100010000010P L2列出逻辑函数Y=AB+BC的真值表。解:Y=AB+BC=ABC=AB(B+C)=AB+ABC=ABC+ABCPDF 文件使用pdf Fact or y Pr o试用版本创建 www.fi nepr 1数字逻辑基础习题解答5ABcY00000010010001101001101111001110P 1.3用公式法证

    13、明:AB+BC+CA=AB+BC+CA解:7=AB+BC+CA=ABC+ABC+ABC+ABC+ABC+ABC=m(12345,6)Y2=AB+BC+CA=ABC+ABC+ABC+ABC+ABC+ABC=m Q,2,3,4,5,6)匕=匕LP 1.4如果存在某组基本运算,使任意逻辑函数/(即,尤2,招)均可用它们表示,则称该组基本运算组成完备集。已知与、或、非三种运算过程完备集,试证明与、异或运 算组成完备集。解:将异或门的其中一输入端接高电平即转化为非门,与门和非门又可以构成或门。LP 1.5已知逻辑函数+求:最简与或式、与非-与非式、最小项表达式。解:最简与-或式:F=ABC+ABC+BC

    14、=AB+BC与非-与非式:F=AB+BC=ABBC最小项之和:F=ABC+ABC+ABCLP 1.6试用与非门实现逻辑函数38+BC o解:L=AB+BC=AB BC逻辑电路图P L7写出如图P L7所示逻辑电路的与-或表达式,列出真值表。PDF 文件使用pdf Factory Pr o试用版本创用 www.f i nepri 1数字逻辑基础习题解答6图P 1.7 图P 1.8(图要改)解:F=A而8而=4而+8前=45+入8=48A BF0 0|0。;1 1;0P 1.8写出如图P L8所示逻辑电路的与-或表达式,列出真值表。解:表杏式_F=(AB+A5)(B+C)=AB+ABC+ABC=A

    15、BC+ABC+ABC 真值表ABcF00000010010001111001101111001110Lpi.9用公式法化简逻辑函数尸=ab+Kc+5c+acd o解 F=AB+AC+BC+ABCD=AB+AC+BC=AB+(A+B)C=AB+ABC=AB+CP l.10用公式化简法化简逻辑函数F=A5+Nc+万。+己0+万。解:F=AB+AC+BC+CD+D=AB+AC+BC+C+。=AB+CAB+C+D=AB+C+C+D=1EP I.11 1正明不等式了。+3。+45+),部+了5+4。+1)。解:=AC+BC+AB+DPDF 文件使用pdf Factory Pr o试用版本创用 www.f

    16、 i nepri 1数字逻辑基础习题解答7Y2=BC+AB+AC+D当 0=0 时,Yl=AC+BC+AB,Y2=BC+AB+AC列出函数真值表:ABcy20000100110010010111110011101111100111111从真值表可知:丫#丫2P 1.12试用卡诺图法将逻辑函数化为最简与-或式:(1)F(A,B,C)=Xm(0,1,2,4,6)(2)F(A,B,C)=m(0,1,2,4,5,7)(3)F(A,B,C)=Y,m(1,3,4)+Xd(5,6,7)(4)F(A,B,C,)=Xm(4,5,6,7,8,9,10,11,12,13)(5)F(4,B,C,D)=Y,m(5,6,

    17、7,8,9)+Ed(10,11,12,13,14,15)(6)F(4,B,(7)L(4、B、C,D)=Z加(0,C、D)=E机(5、2,4,5,6,7,12)+E d(8,10)7、13、14)+Ed(3、9、10、11、15)oF=AB+C(2)PDF 文件使用pdf Factory Pr o试用版本创用 www.f i nepri 1数字逻辑基础习题解答8(3)(4)(5)(6)F(A,B,Q=B+AC+ACF(A,B,C)=A+CF=AB+AB+BCF00 01 U I。00000仆乜Ix jJJ1XF=A+BD+BCPDF 文件使用pdf Factory Pr o试用版本创建 www.

    18、F nepri 1数字逻辑基础习题解答9F(A,B,C,D)=CD+AB+BD(7)L=BD+ACEpi.13将下列逻辑函数化简成最简与-或表达式:Y=BCD+ABCD+ABCDCD+CD=Q解:Y=BD+ADP l.14有两个函数 F=AB+CD.G=ACD+BC,求 M=F G 及 N=F+G 的最简与-或表达式。解:画出尸和G的卡诺图如下:PDF 文件使用pdf Factory Pr o试用版本创建 www.fi nepri 1数字逻辑基础习题解答10F00 01 11 1000 01 11 10函数在进行与或运算时,只要将图中编号相同的方块,按下述的运算规则进行运算,即可求得它们的逻辑

    19、与、逻辑或等函数。其运算规则如表所示。000010DO0000DI00I00100I111111111001110001010D01D01X+01X0000001X101X1111X0XXXX1XN=AB+BC+CDLP 1.15有两个函数,尸 1(A,B,C,D)=Z机(0,2,7,8,10,13)+gd(1,4,9),F2(A,B,C,D)=YM(1,2,6,8,10,12,15)D(4,9,13),其中加、Af表示最小项和最大项,d、。表示无关项,试用卡诺图求:(1)01=可后的最简与-或表达式;(2)P2=FF2的最简或-与表达式。解:先将尸2转化为最小项之和的形式:F2CA,B,C,

    20、Z=riM 0,2,6,8,1(112,15)-rw 0,9,13)=mx+m2+m6+m&4-W0+mi2+/n15+6Z4+d9+J13F2(A,B,C,Z)=+1+1+miX+mi4+d4+d9+dl 3=l jn 0,3,7,1114)+Xz/(4,9,13)画出尸1和3的卡诺图:PDF 文件使用pdf Fact or y Pr o试用版本创建 www.fi nepr 1数字逻辑基础习题解答11画出尸1和尸2的卡诺图:B=A+CD+CD+ABCP=ACD+BCD+BCD+ABCP l.16根据图P L16所示波形图,用原变量和反变量:(1)写出逻辑关系表达式Z=/(A,B,C);(2)

    21、将上述表达式简化成最简与-或-非表达式;(3)把上述表达式简化成最简或非-或非表达式。图 P 1.16解:根据波形图列出真值表ABcz00000011010001111000PDF 文件使用pdf Factory Pr o试用版本创用 www.f i nepri 1数字逻辑基础习题解答12Z=AB+AC101011011111=A+C+A+B 或非-或非表达式=AC+AB 与或非表达式P 1.17若两个逻辑变量x、丫同时满足X+Y=1和xy=0,则有x=P明:ABCD+ABCD=AB+BC+CD+DA o证:(ABCD+ABCD)(AB+BC+CD+DA)=0且 ABCD+ABCD+AB+BC

    22、+CD+DA=ACD+ACD+AB+BC+CD+DA=AC+AC+AB+BC+CD+DA=AC+DA+CD+AC+AB+BC+CD=AC+DA+C+AC+AB+BC=DA+C+A+AB+B=C+A+A+5=1+C+B=1/.原等式成立。o利用该公理证PDF 文件使用pdf Factory Pr o试用版本创用 www.f i nepri 2集成门电路习题解答自我检测题T2.1 CMOS传输门可以用来传输 数字 信号或 模拟 信号。T2.2 CMOS门电路的静态功耗很低。随着输入信号频率的增加,功耗也会 增加。T2.3 TTL与非门多余输入端的处理方法是 接高电平,接电源,与其他引脚连在 一起或

    23、悬空。T2.4 TTL或非门多余输入端的处理方法是接低平,接地,与其他引脚连在一起。T2.51TTL与非门输出端采用推拉式输出的主要作用是提高速度,改善负载特性。T2.6 TTL与非门的灌电流负载发生在输出_火电平情况下,负载电流越大,则 输出电平越高。T2.7在TTL门电路中,输出端能并联使用的电路有0C门和 三态门;T2.8三态逻辑门有三3种状态:。态、1态和 高阻态。T2.9当多个三态门的输出端连在一条总线上时,应注意任何时刻只能有一个门电 路处于工作态。LT2.10 0C门在使用时输出端应接上拉电阻和电源。T2.ll 0C门和三态门有什么特点?在使用中应注意什么?解:0C门可以线与,使

    24、用时应加上拉电阻。三态门输出有3种状态:0态、1态、高 阻态。当使能端电平有效时,处于工作态;当使能端无效时,输出高阻态。挂在同一条总 线上的三态门在任何时刻只能有一个门处于工作态。LT2.12当CMOS和TTL两种门电路互连时,要考虑哪几个电压和电流参数?这些 参数应满足怎样的关系?解:VoHmin)WlH Vol(max)w Vil(max)koH(max)|2秘旧 max)/OL(max)|/IL(max)|T2.13对CMOS门电路,以下 说法是错误的:(A)输入端悬空会造成逻辑出错(B)输入端接510kQ的大电阻到地相当于接高电平(C)输入端接510Q的小电阻到地相当于接低电平(D)

    25、噪声容限与电源电压有关T2.14已知图T2.14所示,各MOSFET管的悔=2V,若忽略电阻上的压降,则电 路 中的管子处于导通状态。PDF 文件使用pdf Fact or y Pr o试用版本创用 www.f i nepri 2集成门电路习题解答2图 T2.14T2.15若将一个TTL异或门(设输入端为4、B)当作反相器使用,则A、B端应 连接。(A)A或8中有一个接高电平1;(B)A或B中有一个接低电平0;(C)A和B并联使用;(D)不能实现。T2.16对LSTTL与非门电路,以下 说法是错误的:(A)输入端悬空会造成逻辑出错(B)输入端接510k。的大电阻到地相当于接高电平(C)输入端接

    26、510。的小电阻到地相当于接低电平(D)输入端接低电平时有电流从门中流出T2.17某集成电路芯片,查手册知其最大输出低电平%L(ma x,=0.5V,最大输入低 电平ViLma x)=0-8V,最小输出高电平Vo H=2.7V,最小输入高电平%h =2.0V,则 其低电平噪声容限Vnl=_o(A)0.4V(B)0.6V(C)0.3V(D)1.2VT2.18 TTL与非门的低电平输入电流为1.0mA,高电平输入电流为10 n A,最大灌电流为8mA,最大拉电流为400 u A,则其扇出系数为=。(A)8(B)10(C)40(D)20T2.19设图T2.19所示电路均为CMOS门电路,实现尸=箱花

    27、功能的电路是 O(A)(B)(C)(D)图 T2.19LT2.20设图T2.20所示电路均为LSTTL门电路,能实现尸=久功能的电路PDF 文件使用pdf Factory Pr o试用版本创用 www.f i nepri 2集成门电路习题解答3是_(A)(B)(C)(D)图 T2.20T2.21如图T2.21所示LSTTL门电路,当EN=O时,/的状态为.图 T2.21(D)F=ABT2.22 0C门组成电路如图T2.22所示,其输出函数尸为(A)F=AB+BC(B)F=AB+BC(C)F=(A+B)(B+C)(D)F=AB-BC+5VB 一,图 T2.22习 题LP 2.1分别写出如图P 2

    28、.1(a)(b)所示电路的逻辑表达式,说明是什么逻辑电路。PDF 文件使用pdf Factory Pr o试用版本创用 www.f i nepri 2集成门电路习题解答4图 P2.1解:(1)Y=A+B(2)Y=A BP 2.2分析如图P 2.2(a)、(b)所示电路的逻辑功能,写出电路输出函数S的逻辑 表达式。B B(a)(b)图 P 2.2解:(1)ABS0000100输出S都是A和8的异或函数,即S=A8(2)ABS000PDF 文件使用pdf Factory Pr o试用版本创用 www.f i nepri 2集成门电路习题解答5输出S都是A和3的异或函数,即S=A8P 2.3双互补对

    29、与反相器引出端如图P 2.3所示,试将其分别连接成:(1)三个反 相器;(2)3输入端与非门;(3)3输入端或非门;(4)实现逻辑函数L=C(A+8);(5)一个非门控制两个传输门分时传送。图 P 2.3解:(1)巾 2 4rD 1,ss 1 r-r Jb10DD最 f DD4(2)(3)PDF 文件使用pdf Fact or y Pr o试用版本创建 www.f i nepr 2集成门电路习题解答6(4)(5)P 2.4已知电路如图P 2.4所示,写出尸1、尸2、尸3和尸与输入之间的逻辑表达式。PDF 文件使用pdf Fact or y Pr o试用版本创建 www.fi nepr 2集成门

    30、电路习题解答7解:F=AB,F2=CD,F3=AB+CD,F=AB+CDP 2.5解:电路为OC输出的同或门P 2.6 图 P 3.6 中 Gi、G2 G3 为 LSTTL 门电路,G4,G5,G6 为 CMOS 门电路。试指出各门的输出状态(高电平、低电平、高阻态?)。PDF 文件使用pdf Fact or y Pr o试用版本创建 www.f i nepr 2集成门电路习题解答8图 P 2.6解:匕高电平,K高阻态,力低电平,匕高电平,为低电平,/低电平P 2.7 图P 2.7(a)所示为LSTTL门电路,其电气特性曲线如图P 2.7(b)所示。请按给定的已知条件写出电压表的读数(填表P

    31、3.7)。假设电压表的内阻2100kQ。(b)图 P 2.7(注意,曲线图中应为1.1V)表 P 3.7ABC K电压表读数/V0 断开1 断开0 闭合1 闭合解:ABCK电压表读数(V)0 0 0断开0PDF 文件使用pdf Factory Pr o试用版本创用 www.f i nepri 2集成门电路习题解答9001闭合4.3110闭合1.1111闭合0.2P 2.8电路如图P 2.8所示,Gi、G2均为TTL门电路,其输出高电平VOh=3.6V,输出低电平%L=0.3V,最大允许拉电流/H(ma x)=0.4mA,最大允许灌电流,OL(ma x)=30mA,三极管T导通时/e=0.7V,

    32、饱和时区es=0.3V,发光二极管正向导通时压降Vd=L4V。(1)当输入A、B、C、。取何值时,力有可能发光?(2)为使T管饱和,T的S值应为多少?&JI2G图 P 2.8解:(1)要使发光二极管Vd发光必须使T管饱和导通,要使使T管饱和导通,必须 使Gi输出高电平,G2输出低电平,即A=3=0,C=D=1,因此,当且仅当A8CD=0011时,Vd才可能发光。(2)为使三极管导通时进入饱和状态,三极管6的选择必须满足/b2/bs,式中,%h-Vbe-%L J%c-Vd-Vces-olId=-/rc=-Rb 限代入给定数据后,可求得6 275。P 2.9写出如图P 2.9所示各电路的输出函数表

    33、达式。PDF 文件使用pdf Factory Pr o试用版本创用 www.f i nepri 2集成 门 电 路 习 题解答10图 P 2.9解:F=A+Bf2=a+bc&=AB CDF4=ABEN+CDENLP 2.10如图P 2.10所示逻辑电路能否实现所规定的逻辑功能?如能的在括号内写“Y”,错的写“N”。图 P 2.10JB=0时,L=C18=1时,L=A+C解:Y,NL2=AB+CD()P 2.ll如图P 2.ll所示逻辑电路能否实现所规定的逻辑功能?如能的在括号内写Y”,错的写“N”。图 P 2.ll-/、3=0时,L2=AC z、L=AB CD()2 _()b=i时,l2=c解

    34、:N,NP 2.12 有一门电路内部电路如图P 2.12所示,写出Z的真值表,画出相应的逻辑 符号。解:真值表AENz00001高阻10111高阻PDF 文件使用pdf Factory Pr o试用版本创用 www.f i nepri 2 集成 门 电 路 习 题解答逻辑符号A ENLP 2.13判断如图P 2.13所示电路的逻辑功能,画出其逻辑符号。图 P 2.12图 P 2.13解:4、3为电路输入变量,产为输出变量,只要列出真值表,就可判断其逻辑功能。4 B Y_ oo m0 1 11A B|ENP 2.14由三态门构成的总线传输电路如图P 2.14所示,图中及个三态门的输出接到 数据传

    35、输总线,Do、5、5-1为数据输入端,CS0、CS、CST为片选信号输入 端。试问:(1)片选信号应满足怎样的时序关系,以便数据。0、2、On”通过总线进 行正常传输?(2)如果片选信号出现两个或两个以上有效,可能发生什么情况?(3)如 果所有的信号均无效,总线处在什么状态?图 P 2.14解:(1)片选信号任何时刻只能有一个为低电平;PDF 文件使用pdf Fact or y Pr o试用版本创建 www.fi nepr 2集成 门 电 路 习 题解答12(2)总线冲突。(3)高阻态。P 2.15图P 2.15中,LSTTL门电路的输出低电平VOl0.4V时,最大负载灌电流击(ma x,W8

    36、mA,输出高电平时的漏电流/o zW50u A;CMOS或非门的输入电流可以忽略不 计。如果要求Z点(即或非门的输入端)高、低电平h24V、lW0.4V,请计算上拉电 阻Rc的选择范围。+5V图 P 2.17解:(1)当Z点输出高电平时,应满足下式:+5V-Rc/o z24VRc&i-20kQ 50 x 106(2)当Z点输出高电平时,应满足下式:+5V-RcIol(ma x)WO.4V治25-0.48x 10-325.7kQ,5.7k Q W&W 20k QPDF 文件使用pdf Fact or y Pr o试用版本创建 www.fi nepr 3组合逻辑电路习题解答1自我检测题T3.1组合

    37、逻辑电路任何时刻的输出信号,与该时刻的输入信号无关,与电路以 前的输入信号无关。T3.2在组合逻辑电路中,当输入信号改变状态时,输出端可能出现虚假过渡干扰 脉冲的现象称为竞争冒险。T3.3 8线一3线优先编码器74LS148的优先编码顺序是7;、心、心、心,输 出双1豆。输入输出均为低电平有效。当输入7;7;7;7;为11010101时,输出女豆豆 为 010。T3.4 3线一8线译码器74LS138处于译码状态时,当输入4244=001时,输出不=11111101 OT3.5能完成两个一位二进制数相加,并考虑到低位进位的器件称为全加器。T3.6实现将公共数据上的数字信号按要求分配到不同电路中

    38、去的电路叫逢据今直 窿。T3.7根据需要选择一路信号送到公共数据线上的电路叫逢据选捏超。LT3.8 一位数值比较器,输入信号为两个要比较的一位二进制数,用A、8表示,输出信号为比较结果:Y(AB)y(A=B)和y(A则4B)的逻辑表达式为4冬T3.9下列电路中,不属于组合逻辑电路的是 o(A)译码器(B)全加器(2罡存器(D)编码器LT3.10译码器74LS138的使能端S1同可取值为 时,处于允许状态。(A)Oil(B)100(C)101(D)010T3.ll在二进制译码器中,若输入有4位代码,则输出有 个信号。(A)2(B)4(C)8(D)16T3.12组合逻辑电路中的险象是由于 引起的。

    39、(A)电路未达到最简(B)电路有多个输出(C)电路中的时延(D)逻辑门类型不同T3.13用取样法消除两级与非门电路中可能出现的冒险,以下说法哪一种是正确并 优先考虑的?(A)在输出级加正取样脉冲(B)在输入级加正取样脉冲(C)在输出级加负取样脉冲(D)在输入级加负取样脉冲T3.14比较两位二进制数A=4Ao和8=3由,当时输出尸=1,则F表达式是(A)F=AIBI(C)尸=4瓦+%而瓦%瓦(B)F=AjAg+B1+Bo(D)f=+Ao+BoPDF文件使用pdf Factory Pro试用版本创建3组合逻辑电路习题解答2习 题P 3.1分析图P 3.1所示组合电路的功能,要求写出逻辑表达式,列出

    40、其真值表,并 说明电路的逻辑功能。图 P 3.1解:CO=AB+BC+ACS=ABC+(A+B+C)CO=ABC+(A+B+C)AB+BC+AC真值表ABcsc o0000000110010100110110010101011100111111电路功能:一位全加器,S是相加的和,。是进位P 3.2已知逻辑电路如图P 3.2所示,试分析其逻辑功能。PDF 文件使用pdf Factory Pr o试用版本创用 www.f i nepri 3组合逻辑电路习题解答3图 P 3.2解:Pi=ABCP1=BPX=BABCP3=丽=AABCP4=CP=CABCF=尸2P 3P4_=BABC AABC CAB

    41、C=BABC+AABC+CABC=ABC(A+B+C)=(A+B+C)(A+B+C)=ABC+ABC+ABC+ABC+ABC+AB C 真值表为:ABCF0 0 000 0 110 1010 1 1110 011 0 1111011 1 10从真值表看出,A3C=000或4BC=111时,尸=0,而A、B、C取值不完全相同时,F=l。故这种电路称为“不一致”电路。P 3.3某组合逻辑电路如图P 3.3所示:(1)写出函数丫的逻辑表达式;(2)将函数丫化为最简与-或式;(3)用与非门画出其简化后的电路。PDF 文件使用pdf Factory Pr 0试用版本创用 www.f i nepri 3组

    42、合逻辑电路习题解答4解:Y=ABC+ABC+ABC=AB+ACY=AB+AC=AB ACP 3.4试分析如图P 3.4所示逻辑电路的功能,写出逻辑表达式和真值表。图 P 3.4解:S=A3CCO=ABC(A5)=AB+C(AB+AB)=AB+ABC+ABC=AB+AC+BCP 3.5与非门组成的电路如图P 3.5所示:(I)写出函数丫的逻辑表达式;(2)将函数丫化为最简与-或式;(3)用与非门画出其简化后的电路。P 3.5解:X=ACPDF 文件使用pdf Factory Pr o试用版本创用 www.f i nepri 3组合逻辑电路习题解答5右=_y3=bcY4=YiB=AC+BY5=轨=

    43、B+BC=B+CY6=Y=(AC+B)(B+C)=AC+BCy7=YD=BC+Dy=y=ac+bc+bc+d=ac+bc+bcd=AC+BC+D=ACBCDLP 3.6根据图P 3.6所示4选1数据选择器,写出输出Z的最简与-或表达式。图 P 3.6图 P 3.7解:Z=AB+ABC+AB+ABC=B+AC+ACP 3.7由4选1数据选择器和门电路构成的组合逻辑电路如图P 3.7所示,试写出其 输出E逻辑函数表达式,并化简。解:E=ABCD+ABCD+ABCD+ABCD=AC+CDP 3.8由4选1数据选择器构成的组合逻辑电路如图P 3.8所示,请画出在输入信号 作用下,L的输出波形。PDF

    44、文件使用pdf Factory Pr o试用版本创建 www.fi nepri 3组合逻辑电路习题解答6,JTLr LTLrB:I L I i i i L_图 P 3.8解:4选1数据选择器的逻辑表达式为:丫=A1 A0D0 4-AA。+Aj AqD2+AlA0D3将 4=A,A()=B,D()=l,Di=C,D2=C Z)3=C 代入得Y=AB+ABC+ABC+ABC=ABC+ABC+ABC+ABC+ABCP 3.9已知用8选1数据选择器74LS151构成的逻辑电路如图P 3.9所示,请写出输 出L的逻辑函数表达式,并将它化成最简与-或表达式。DDo AoA.A2EY 丫74LS151口2

    45、D3 D.D,D6 D7图 P 3.9解:(1)写出逻辑函数表达式:L=ABC+ABC+ABCPDF 文件使用pdf Fact or y Pr 0试用版本创建 www.f i nepr 3组合逻辑电路习题解答7(2)用卡诺图化简。1 11 1。Q00u00L=AB+BCLP 3.10图P 3.10所示是用二个4选1数据选择器组成的逻辑电路,试写出输出Z与 输入M、N、P、。之间的逻辑函数式。图 P 3.1解;Z=(NMQ+NMQ)P+(N MQ+NMQ)P=NMQP+NMQP+N MQP+NMQP=NQP+NQPLP 3.11写出图P 3.ll所示电路的逻辑函数,并化简为最简与-或表达式。74

    46、LSB8PDF 文件使用pdf Factory Pr o试用版本创用 www.f i nepri 3组合逻辑电路习题解答8图 P 3.ll解:由图(a)写出逻辑函数并化简,得L=YoY2Y5Yi=y0+y2+y5+y7=ABC+ABC+ABC+ABC=AC+ACP 3.12电路如图P 3.12所示,图中均为2线一4线译码器。(1)欲分别使译码器处于工作状态,对应的C、D应输入何种状态(填表 P 3.12-1);(2)试分析当译码器工作时,请对应4、8的状态写出耳;耳;的状态(填表P 3.12-2);(3)说明图P 3.12的逻辑功能。表P3.12-1 表 P 3.12-2图 P 3.12解:处

    47、于工作状 态的译码器C、。应输入的状态AB元元CD000001110101101110 101101PDF文件使用pdf Factory Pro试用版本创建3组合逻辑电路习题解答9110逻辑功能:由74LS139构成的4线一16线译码器P 3.13试用与非门设计一组合逻辑电路,其输入为3位二进制数,当输入中有奇数 个1时输出为1,否则输出为0。要求列出真值表,写出逻辑函数表达式,画出逻辑图(输 入变量允许有反变量)。解:(1)真值表ABcF00000011010101101001101011001111(2)F=ABC+ABC+ABC+ABC=ABC-ABC-ABC-ABC(3)逻辑图略P 3

    48、.14已知X=X2XX()代表3位二进制数。设计一个组合电路,当X23时输出Y=1,当XV3时输出)/=0。要求:(1)列出真值表;(2)求y的最简与-或表达式;(3)完全用与非门画出电路图。解:(1)列出真值表X2XiXoYX2X|XoY00001001001010110100110101111111(2)求最简与-或式PDF 文件使用pdf Factory Pr o试用版本创用 www.f i nepri 3组合逻辑电路习题解答10F=XXxXQ=X2 XXo(3)电路图P 3.15 4位二进制数E为昂民巴瓦,七20,没有符号位。请设计一个组合逻辑电 路实现_ f 1 08 或 12E K

    49、=Q0(2)状态方程0o”=/,2/,+,=Qon(3)状态真值表Q:2o,+l00010111i000i110(4)状态转换图(5)功能采用格雷码的四进制计数器。P 4.14电路如图P 4.14所示,设各触发器的初始状态为0。请画出在输入信号作用 下,对应的输出。0、2的波形,并描述电路实现的功能。PDF 文件使用pdf Factory Pr o试用版本创用 www.f i nepri 4时序逻辑电路习题解答10图 P 4.14解:(1)波形图:。|2|(2)功能:右移寄存器P 4.15 一逻辑电路如图P 4.15所示,试画出时序电路部分的状态图,并画出在 CP作用下24译码器74LS139

    50、输出歹o、下2、73的波形,设Q、0o的初态为0。2线一4线译码器的逻辑功能为:当丽=0时,电路处于工作状态,兀=罚,K|=A Aq 匕=A Aq,Kj Aj Aq o图 P 4.15解:(1)状态转换图(2)波形图PDF 文件使用pdf Fact or y Pr o试用版本创建 www.fi nepr 4时序逻辑电路习题解答11LP 4.16试分析如图P 4.16同步时序逻辑电路,并写出分析过程。图 P 4.16解:(1)写出驱动方程,0=。2,i=Qo Ko=Q2n K=0()K 2=0.2(2)写出状态方程Qon+=o 7o 7+Q2nQon。产=Qo 下+京匕必川=。0。不(3)列出状


    注意事项

    本文(贾立新《数字电路》课后答案.pdf)为本站上传会员【曲****】主动上传,咨信网仅是提供信息存储空间和展示预览,仅对用户上传内容的表现方式做保护处理,对上载内容不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知咨信网(发送邮件至1219186828@qq.com、拔打电话4008-655-100或【 微信客服】、【 QQ客服】),核实后会尽快下架及时删除,并可随时和客服了解处理情况,尊重保护知识产权我们共同努力。
    温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载【60天内】不扣币。 服务填表




    页脚通栏广告
    关于我们 - 网站声明 - 诚招英才 - 文档分销 - 便捷服务 - 联系我们 - 成长足迹

    Copyright ©2010-2024   All Rights Reserved  宁波自信网络信息技术有限公司 版权所有   |  客服电话:4008-655-100    投诉/维权电话:4009-655-100   

    违法和不良信息举报邮箱:help@zixin.com.cn    文档合作和网站合作邮箱:fuwu@zixin.com.cn    意见反馈和侵权处理邮箱:1219186828@qq.com   | 证照中心

    12321jubao.png12321网络举报中心 电话:010-12321  jubao.png中国互联网举报中心 电话:12377   gongan.png浙公网安备33021202000488号  icp.png浙ICP备2021020529号-1 浙B2-20240490   



    关注我们 :gzh.png  weibo.png  LOFTER.png